LRCX
Information Technology

Lam Research Corporation

LRCX
Since 1980

Headquarters:

CA, United States

Exchange:

NASDAQ

Industry:

Semiconductor Equipment & Materials

Number of Employees:

17.70K

Current Fiscal Year:

2025

Market Cap:

91.23B

Price per Share:

$70.9

Quarterly Dividend per Share:

$0.23
Year-to-date Performance:
-2.1259%
Dividend Yield:
1.15%
Price-to-book Ratio:
10.77
Trailing P/E Ratio:
22.49

Price History

Latest Prices

DateOpenHighLowClose
2025-04-2970.8971.6670.2270.9
2025-04-2870.9571.9470.0471.57
2025-04-2570.3372.28570.2971.42
2025-04-2469.6771.2167.550170.9
2025-04-2366.8168.0166.3466.73

Lam Research Corporation designs, manufactures, markets, refurbishes, and services semiconductor processing equipment used in the fabrication of integrated circuits. The company offers ALTUS systems to deposit conformal films for tungsten metallization applications; SABRE electrochemical deposition products for copper interconnect transition that offers copper damascene manufacturing; and VECTOR plasma-enhanced CVD products. It also provides SPEED gapfill high-density plasma chemical vapor deposition products; and Striker single-wafer atomic layer deposition products for dielectric film solutions. In addition, the company offers Flex for dielectric etch applications; Vantex, a dielectric etch system that provides RF technology and repeatable wafer-to-wafer performance enabled by Equipment Intelligence solutions; Kiyo for conductor etch applications; Syndion for through-silicon via etch applications; and Versys metal products for metal etch processes. Further, it provides Coronus bevel clean products to enhance die yield; and Da Vinci, DV-Prime, EOS, and SP series products to address various wafer cleaning applications. The company sells its products in the United States, China, Europe, Japan, Korea, Southeast Asia, and Taiwan. Lam Research Corporation was incorporated in 1980 and is headquartered in Fremont, California.

Financial Performance

2025 Revenue:15.59B

Detailed view of quarterly revenue

2025 Net Income:4.06B

Detailed view of quarterly net income

2025 Free Cash Flow:4.84B

Overview of free cash flow for the quarter

Annual Revenue Comparison

Compares total annual revenues

Similar Companies

Information Technology
AMAT
Applied Materials, Inc.
Applied Materials, Inc. engages in the provision of manufacturing equipment, services, and software to the semiconductor, display, and related industries. The company operates through three segments: Semiconductor Systems, Applied Global Services, and Display and Adjacent Markets. The Semiconductor Systems segment develops, manufactures, and sells various manufacturing equipment that is used to fabricate semiconductor chips or integrated circuits. This segment also offers various technologies, including epitaxy, ion implantation, oxidation/nitridation, rapid thermal processing, physical vapor deposition, chemical vapor deposition, chemical mechanical planarization, electrochemical deposition, atomic layer deposition, etching, and selective deposition and removal, as well as metrology and inspection tools. The Applied Global Services segment provides integrated solutions to optimize equipment and fab performance and productivity comprising spares, upgrades, services, remanufactured earlier generation equipment, and factory automation software for semiconductor, display, and other products. The Display and Adjacent Markets segment offers products for manufacturing liquid crystal displays; organic light-emitting diodes; and other display technologies for TVs, monitors, laptops, personal computers, electronic tablets, smart phones, and other consumer-oriented devices. It operates in the United States, China, Korea, Taiwan, Japan, Southeast Asia, and Europe. The company was incorporated in 1967 and is headquartered in Santa Clara, California.
121.69B
Market Cap
*Data based on the last 12 months.
Information Technology
KLAC
KLA Corporation
KLA Corporation, together with its subsidiaries, engages in the design, manufacture, and marketing of process control, process-enabling, and yield management solutions for the semiconductor and related electronics industries worldwide. It operates through three segments: Semiconductor Process Control; Specialty Semiconductor Process; and PCB and Component Inspection. The company offers inspection and review tools to identify, locate, characterize, review, and analyze defects on various surfaces of patterned and unpatterned wafers; metrology systems that are used to measure pattern dimensions, film thickness, film stress, layer-to-layer alignment, pattern placement, surface topography, and electro-optical properties for wafers; chemical process control equipment; wired and wireless sensor wafers and reticles; wafer defect inspection, review, and metrology systems; reticle inspection and metrology systems; and semiconductor software solutions that provide run-time process control, defect excursion identification, process corrections, and defect classification to accelerate yield learning rates and reduce production risk. It also provides etch, plasma dicing, deposition, and other wafer processing technologies and solutions for the semiconductor and microelectronics industry. In addition, the company offers direct imaging, inspection, optical shaping, inkjet and additive printing, UV laser drilling, and computer-aided manufacturing and engineering solutions for the PCB market; inspection and electrical testing systems to identify and classify defects, as well as systems to repair defects for the display market; and inspection and metrology systems for quality control and yield improvement in advanced and traditional semiconductor packaging markets. The company was formerly known as KLA-Tencor Corporation and changed its name to KLA Corporation in July 2019. KLA Corporation was incorporated in 1975 and is headquartered in Milpitas, California.
92.02B
Market Cap
*Data based on the last 12 months.
Information Technology
FORM
FormFactor, Inc.
FormFactor, Inc. designs, manufactures, and sells probe cards, analytical probes, probe stations, metrology systems, thermal systems, and cryogenic systems to semiconductor companies and scientific institutions. It operates in two segments, Probe Cards and Systems. The company offers probe cards to test various semiconductor device types, including systems on a chip products, mobile application processors, microprocessors, microcontrollers, and graphic processors, as well as radio frequency, analog, mixed signal, image sensor, electro-optical, dynamic random access memory, NAND flash memory, and NOR flash memory devices, as well as computer processor devices; and analytical probes, which are used for a range of applications, including device characterization, electrical simulation model development, failure analysis, and prototype design debugging for universities, research institutions, semiconductor integrated device manufacturers, semiconductor foundries, and fabless semiconductor companies. It also provides probe systems for semiconductor design engineers to capture and analyze accurate data; surface metrology systems for the development, production, and quality control of semiconductor products; thermal subsystems, such as thermal chucks and other test systems used in probe stations and other applications; and precision cryogenic instruments, semiconductor tests, and measurement systems. In addition, the company offers on-site probe card maintenance and service training, seminars, and telephone support services. It markets and sells its products through direct sales force, manufacturers' representatives, and distributors in the United States, Taiwan, South Korea, China, Europe, Japan, Malaysia, Singapore, and internationally. The company was incorporated in 1993 and is headquartered in Livermore, California.
2.16B
Market Cap
*Data based on the last 12 months.
Information Technology
A
Amtech Systems, Inc.
Amtech Systems, Inc. manufactures and sells capital equipment and related consumables for use in fabricating silicon carbide (SiC), silicon power devices, analog and discrete devices, electronic assemblies, and light-emitting diodes (LEDs) worldwide. The company operates through Semiconductor and Material and Substrate segments. The Semiconductor segment designs, manufactures, sells, and services thermal processing equipment, including solder reflow ovens, horizontal diffusion furnaces, and custom high-temp belt furnaces for use by semiconductor, electronics, and electro/mechanical assembly manufacturers; and diffusion and reflow thermal systems, as well as wafer cleaning equipment and related services. The Material and Substrate segment manufactures and sells consumables and machinery for lapping and polishing of materials, such as silicon wafers for semiconductor products; sapphire substrates for LED lighting and mobile devices; silicon carbide wafers for LED and power device applications; various glass and silica components for 3D image transmission; quartz and ceramic components for telecommunications devices; and medical device components, and optical and photonics applications. It also offers substrate products comprising of double-sided wafer cleaning system, entegrity head tester, substrate carrier, substrate polishing templates, double-sided lapping and polishing machines, single-sided polisher, and substrate process chemicals. The company sells its products through sales personnel, as well as a network of independent sales representatives and distributors. Amtech Systems, Inc. was incorporated in 1981 and is headquartered in Tempe, Arizona.
49.68M
Market Cap
*Data based on the last 12 months.